将C算法转换为Verilog实现的一种方法
贺敬凯,王瑞春,万学元,潘晓宁,郑芙蓉,李晓堂
One method of transforming C arithmetic to Verilog implementation
HE Jing-kai,WANG Rui-chun,WAN Xue-yuan,PAN Xiao-ning,ZHENG Fu-rong,LI Xiao-tang
计算机工程与应用 . 2010, (30): 71 -74 .  DOI: 10.3778/j.issn.1002-8331.2010.30.021